0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看威廉希尔官方网站 视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

英特尔接手高通代工,2025年赶超台积电和三星

E4Life 来源:电子发烧友原创 作者:Leland 2021-07-28 09:44 次阅读
在7月27日凌晨举办的Intel Accelerated活动中,英特尔放出了几个重磅消息,未来制程节点的全面改名,后续先进制程的威廉希尔官方网站 推进和时间节点,以及全新的封装威廉希尔官方网站 和代工客户。

新的命名:10nm变Intel 7

过去的报道中,我们已经多次提到了英特尔在10nm和7nm制程上,英特尔在晶体管密度上其实是领先同节点名的台积电和三星的。英特尔也深知这一点,过去的节点命名方式让他们在营销上吃了大亏,7nm开发进度被延后也就加剧了这一问题,于是英特尔决定改变这一现状。

英特尔今年年末会在Alder Lake 12代酷睿CPU上用到10nm Enhanced SuperFin(10ESF),而如今他们已经将10ESF制程改名为Intel 7制程,而过去提及的7nm将改名为Intel 4制程,很明显英特尔想以这样的方式来对标台积电和三星同命名节点的晶体管密度。

与10nm SuperFin制程相比,Intel 7制程可以做到10至15%的性能/功耗增益,并引入了对FinFET晶体管的进一步优化。英特尔称其10nm目前已经进入了全面量产状态,超过了14nm的产量。而Intel 7现在也已进入量产状态,为今明两年的产品做好准备,比如客户机CPU Alder Lake和数据中心CPU Sapphire Rapids。

Intel 4则提供了20%的性能/功耗提升,在这个节点上,英特尔将全面利用EUV光刻机。使用这一节点的Meteor Lake CPU也已在今年第二季度完成了Tape In,据了解,该制程也会用于未来Granite Rapids数据中心CPU的生产。通过对IMS的收购,英特尔也会将其多束电子束Mask Writer应用于EUV光刻机中。根据英特尔公布的合作伙伴,Applied Materials、Lam Research和Tel Tokyo Electron这些顶级半导体设备供应商也会提供对应的方案。

后续制程:Intel 3和20A

此次发布会上,英特尔也宣布了后续的两大制程Intel 3和20A。其中Intel 3将在功率和面积上进行进一步改进,为客户提供18%的性能/功耗提升。Intel 3将拥有更密集的HP库,以及更高内在驱动电流,并减少了通孔电阻。Intel 3还会继续加大EUV光刻机的使用,英特尔预计在2023年下半年开始投入该制程节点的生产。

PowerVia威廉希尔官方网站 与试产晶圆 / Intel

20A则是英特尔用来追赶台积电和三星的最后一个冲刺区。英特尔称它象征着半导体从纳米时代进入埃米时代。英特尔给该节点定下的时间点为2024年上半年,不过具体的量产时间还不好说。英特尔会在该节点中应用全新的RibbonFET晶体管架构和PowerVia互联威廉希尔官方网站 。

三星将在3nm上推出GAA(全环绕栅极)威廉希尔官方网站 ,而、台积电则计划在2nm上才使用GAA威廉希尔官方网站 ,而RibbonFET正是英特尔自己的GAA解决方案。全新的GAA晶体管架构运用了纳米带威廉希尔官方网站 ,进一步提升了电气性能,加快晶体管开关速度,减少占用空间。

除此之外,在这20A这一节点上,英特尔的代工业务也将迎来一个新的客户——高通

接下高通和亚马逊大单,制程封装两开花

高通在芯片代工上已经尝试了台积电和三星这样的合作伙伴,如今又将多出一个英特尔。不过高通预定的并不是近两年的Intel 4和Intel 3制程,而是最先进的Intel 20A,这意味着我们可能需要在2024年才能看到英特尔代工的高通手机芯片。这样的选择其实也很合理,毕竟今年是英特尔开展代工的第一年,不少人都还在观望英特尔的代工表现。而且高通一向会选择最先进的制程工艺,只有当Intel 20A可以与台积电与三星比肩时,才会列入可选项。

另一大宣布合作的客户则是亚马逊,不过这次合作可不是为其AWS提供CPU的,而是承接其芯片封装。亚马逊造芯早就不是什么秘密了,2015年亚马逊就收购了一家以色列芯片制造公司Annapurna。为了给自己的AWS提供更具竞争力的基础架构,自研芯片是必不可少的一环。亚马逊此次合作,就是为了数据中心芯片的半导体封装。那么英特尔的封装究竟有何优势,值得亚马逊的垂青呢?

封装全面进化:下一代EMIB和Foveros

EMIB和Foveros作为英特尔的封装王牌威廉希尔官方网站 ,在IDM 2.0的运营模式下,也会对客户开放。Intel Accelerated上,英特尔揭开了下一代EMIB和Foveros威廉希尔官方网站 的真面目。

EMIB为英特尔2.5D嵌入式多芯片互联桥接方案,在EMIB的帮助下,芯片可以做到与寻常封装相比2倍的带宽密度和4倍的功率效率。更重要的是,其凸点间距可以做到55微米。而英特尔的下一代EMIB进一步减小了凸点间距,将其逐渐降至40微米。

除了EMIB之外,Foveros 3D堆叠威廉希尔官方网站 同样可以进一步减小凸点间距。结合了两种威廉希尔官方网站 后,凸点间距可以降低至36微米。英特尔正式公布了下一代Foveros威廉希尔官方网站 Foveros Omni和Foveros Direct。

Foveros Omni引入了裸片分解互联和模组化的设计,为芯片设计提供更高的灵活性。该威廉希尔官方网站 将硅通孔(TSV)的性能惩罚最小化,并优化了功耗和IO,为互联提供更高的带宽。运用Foveros Omni威廉希尔官方网站 后,凸点间距可以降低至25微米。Foveros Direct更是实现了铜到铜的直接键合,为互联提供更低的阻值,凸点间距降低至10微米以下。

展望2025年之后

对于2025年之后的计划,英特尔只用了三句话来描述:堆叠式GAA,下一代背部供电系统和先进光学封装。在这些威廉希尔官方网站 上,英特尔会和法国CEA-Leti实验室、比利时微电子研究中心IMEC和IBM紧密合作。英特尔也会在今年10月27日和28日举办的Intel Innovation活动中进一步详解其威廉希尔官方网站 创新。
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 高通
    +关注

    关注

    76

    文章

    6966

    浏览量

    186884
  • 台积电
    +关注

    关注

    43

    文章

    4903

    浏览量

    164218
  • intel
    +关注

    关注

    19

    文章

    3412

    浏览量

    184468
  • 光刻机
    +关注

    关注

    31

    文章

    1071

    浏览量

    46064
收藏 人收藏

    评论

    相关推荐

    晶圆代工互相争夺 谁是霸主

    地位。  面对市场竞争带来的综合压力,不得不开始着手上下游的整合。过去十,***的晶圆代工发光发热,但随着市场H20R1202需求的
    发表于 08-23 17:35

    [转]借16nm FinFET Plus及InFO WLP 通吃英特尔苹果

    应用处理器代工市场已是毫无敌手,可望直取英特尔SoFIA、苹果A9大单。 今年全力冲刺20纳米系统单芯片制程(20SoC)产能,由于已
    发表于 05-07 15:30

    三星英特尔晶圆代工紧急动员 恐难挡攻势

    近期业界传出三星电子、英特尔针对晶圆代工业务紧急动员,全力开发新客户订单,并锁定更先进制程威廉希尔官方网站 扩大资本支出,半导体业者指出,
    发表于 04-26 11:46 550次阅读

    英特尔三星等涉足晶圆代工 市场压力增大

    三星电子(Samsung Electronics)和英特尔(Intel)将大幅拓展晶圆代工事业领域。过去掌握晶圆代工市场的
    发表于 09-20 09:59 639次阅读

    三星SK海力士分拆晶圆代工 抗衡英特尔

    5月24日,三星电子向客户承诺,将领先推出最新制程威廉希尔官方网站 ,想跟
    发表于 05-26 08:41 1491次阅读

    三星开挂工艺之争 英特尔成靶子

    竞争对手三星,在14/16nm节点之后好像开挂一样,10nm工艺都已经量产商用,其中
    发表于 04-13 10:48 1341次阅读

    英特尔已与三星谈判,将外包部分芯片生产

    由于自身的生产多次拖延,英特尔在短短两周内已经与三星谈判,将外包部分芯片生产。
    的头像 发表于 01-10 10:40 2298次阅读

    英特尔三星洽谈将部分芯片生产外包 三星拒绝置评

    要等到2023才能上市,因为英特尔要求能够定制产品,而不是完全使用其他客户已经使用的既定制造流程。 至于
    的头像 发表于 01-10 11:51 2218次阅读

    英特尔与苹果“分手” 将部分高端芯片外包给三星代工

    近日,据彭博社消息,英特尔正在与三星方面洽谈,以讨论将部分高端芯片外包给两家制造商代工
    的头像 发表于 01-11 10:41 1675次阅读

    英特尔计划将部分芯片外包给三星代工

    据知情人士透露,美国芯片巨头英特尔已经与三星电子进行谈判,拟将高端芯片生产外包给这些代工
    的头像 发表于 01-11 11:05 2055次阅读

    英特尔或不会把先进制程委外由代工

    1月12日消息,据报道,Bloomberg News引述知情人士报导,英特尔已与及韩国三星洽谈,讨论将
    的头像 发表于 01-13 14:15 1392次阅读

    三星英特尔开始发力,全球芯片代工龙头或面临压力

    三星英特尔围堵!苹果最先进芯片翻车,iPhone 12耗电,
    的头像 发表于 02-20 16:56 1390次阅读

    业内人士报道:2022下半年将为英特尔代工3nm芯片

    媒:2022下半年将为英特尔代工3nm芯片
    的头像 发表于 02-05 14:50 1276次阅读

    英特尔将在2022把3纳米芯片生产外包给

    英特尔将把3纳米芯片生产外包给:就在2022,英特尔,
    发表于 02-22 15:34 736次阅读

    2纳米制程或将领先对手三星英特尔

    媒报道,2纳米制程将于2025量产,市场看好进度可望领先其对手
    的头像 发表于 09-13 14:37 617次阅读