0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看威廉希尔官方网站 视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

AMD XILINX全新推出了Vivado ML Editions 2022.2版

XILINX开发者社区 来源:XILINX开发者社区 作者:XILINX开发者社区 2022-11-03 11:29 次阅读

AMD XILINX 近期全新推出了 Vivado ML Editions 2022.2 版给工具集带来了多项重大改进与增强功能。

主要亮点

推出电源设计管理器:

电源设计管理器 (PDM) 是全新的下一代功耗评估平台,设计目的是为 Versal 和 Kria SOM 提供准确一致的功耗估计能力。电源设计管理器是 Versal Prime、Premium、AI Core 和 AI Edge 系列等 Versal 产品家族的首选功耗评估工具。

PDM 为 Versal ACAP 硬 IP 块提供增强向导,简化从 XPE 向 PDM 的迁移,帮助用户获得准确的功耗评估。

76ff5c1c-5aae-11ed-a3b6-dac502259ad0.png

面向 Versal 器件和 UltraScale+ 器件

智能设计运行 (IDR) 增强功能

智能设计运行 (IDR) 是基本无需用户干预的一键式时序收敛流程。在 2022.2 版中,我们首次为 Versal 器件提供 IDR 增强功能。使用 IDR 后,我们看到 Versal ACAP 设计的 QoR 平均提高 5%,UltraScale+ 器件设计的 QoR 平均提高 10%。下面是用 Explore 策略运行设计和用 IDR 流程运行设计的比较。为了比较 QoR,我们测量了一系列设计中的最差建立时间时序裕量 (WNS)。WNS 是一个衡量最差建立时间时序裕量的指标,其满足建立时间的值是大于等于0 纳秒。

77335ec2-5aae-11ed-a3b6-dac502259ad0.png

778a2acc-5aae-11ed-a3b6-dac502259ad0.png

上图所示的是用 Explore 策略和用智能设计运行 (IDR) 在 48 个 Versal ACAP 客户设计上获得的基准测试结果。在这 48 个设计中,IDR 实现了对 WNS 平均 5% 的改进。

此外,还对指向 UltraScale+ 器件的一系列设计运行了 IDR。下图所示的是用 Explore 策略和用智能设计运行 (IDR) 在 36 个客户设计上获得的基准测试结果。在这些设计中,IDR 实现了对 WNS 平均 10% 的改进。

7793e620-5aae-11ed-a3b6-dac502259ad0.png

增量实现强化

Vivado IDE 中的增量实现流程允许用户在后续的实现过程中直接使用以前工程的相同设计部分的实现结果,从而节省运行时间,提高运行结果的可预测性。

在 2022.2 版中,UltraScale+ 器件设计的编译速度比默认流程快 1.4 倍。现在也对 Versal 单片器件提供增量实现功能。

77c1d558-5aae-11ed-a3b6-dac502259ad0.png

根据在 68 个 UltraScale+ 客户设计中使用默认流程和使用增量流程得到的基准测试结果,增量流程的编译速度加快了 1.4 倍。

Dynamic Function eXchange (DFX) 强化

抽象 Shell 是一项帮助所有可重配子模块运行缩短编译时间的功能。2022.2 版允许抽象 Shell 支持 Versal 器件。

抽象 Shell 现在提供基于工程模式的支持。在基于工程模式支持下,该工具可自动管理用户的设计流程和设计数据。使用基于工程的支持模式,Vivado IDE 跟踪设计历程,存储相关的设计信息

此外,Dynamic Function eXchange 现在支持 Versal Premium SSI 器件。

Dynamic Function eXchange 具有通过下载部分比特文件动态修改可重配逻辑子模块,而其余逻辑将继续不间断运行的功能。

77d36084-5aae-11ed-a3b6-dac502259ad0.png

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • amd
    amd
    +关注

    关注

    25

    文章

    5251

    浏览量

    132812
  • 电源设计
    +关注

    关注

    30

    文章

    1506

    浏览量

    65551
  • Xilinx
    +关注

    关注

    70

    文章

    2129

    浏览量

    119594

原文标题:Vivado® ML Editions 2022.2 最新更新

文章出处:【微信号:gh_2d1c7e2d540e,微信公众号:XILINX开发者社区】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    AMD推出全新Spartan UltraScale+ FPGA系列

    AMD日前正式推出了全新的Spartan UltraScale+ FPGA系列,该系列作为AMD广泛的成本优化型FPGA和自适应SoC产品组合的最新成员,专为边缘端各种I/O密集型应用
    的头像 发表于 03-06 11:09 381次阅读

    使用 PCIE 更新 AMD ZYNQ™ 的 QSPI Flash 参考设计

    至 ZYNQ 的 S_AXI_GP0,以访问 PS QSPI 控制器。 BD 中需要分配 XDMA 的 M_AXI 地址如下,可以用 AMD Vivado™ 的自动地址分配工具完成
    发表于 11-30 18:49

    AMD Vivado Design Suite 2023.2的优势

    由于市场环境日益复杂、产品竞争日趋激烈,为了加快推出新型自适应 SoC 和 FPGA 设计,硬件设计人员和系统架构师需要探索更为高效的全新工作方式。AMD Vivado Design
    的头像 发表于 11-23 15:09 482次阅读

    罗彻斯特电子携手AMD/Xilinx可持续供应Xilinx传统FPGA产品

    罗彻斯特电子携手AMD/Xilinx,为Xilinx传统FPGA和相关配置PROM产品提供供货支持。
    的头像 发表于 11-07 09:04 304次阅读

    AMD推出全新AMD EPYC(霄龙)8004系列处理器

    优化的单插槽封装,可提供卓越的能效和强大的性能— 今日,AMD宣布推出全新AMD EPYC(霄龙)8004系列处理器,进而完善了工作负载优化处理器的第四代
    的头像 发表于 09-20 10:12 543次阅读

    为什么说Vivado是基于IP的设计?

    VivadoXilinx公司2012年推出的新一代集成开发环境,它强调系统级的设计思想及以IP为核心的设计理念,突出IP核在数字系统设计中的作用。
    的头像 发表于 09-17 15:37 1219次阅读
    为什么说<b class='flag-5'>Vivado</b>是基于IP的设计?

    Vivado ML版中基于ML的路由拥塞和延迟估计

    电子发烧友网站提供《Vivado ML版中基于ML的路由拥塞和延迟估计.pdf》资料免费下载
    发表于 09-14 11:41 0次下载
    <b class='flag-5'>Vivado</b> <b class='flag-5'>ML</b>版中基于<b class='flag-5'>ML</b>的路由拥塞和延迟估计

    Vivado ML版中动态函数交换的威廉希尔官方网站 进步

    电子发烧友网站提供《Vivado ML版中动态函数交换的威廉希尔官方网站 进步.pdf》资料免费下载
    发表于 09-14 09:32 0次下载
    <b class='flag-5'>Vivado</b> <b class='flag-5'>ML</b>版中动态函数交换的威廉希尔官方网站
进步

    【KV260视觉入门套件试用体验】+02.开发环境安装Vitis/Vivado(zmj)

    ./xsetup 3.3软件使用 软件使用说明: //------环境变量配置(Vitis的环境变量配置包括了Vivado) source /tools/Xilinx/Vitis/2022.2
    发表于 08-27 21:22

    如何实现基于FPGA Vivado的74系列IP封装呢?

    双击桌面图标打开Vivado 2017.2,或者选择开始>所有程序>Xilinx Design Tools> Vivado 2017.2>Vivado 2017.2;
    发表于 07-30 09:39 474次阅读
    如何实现基于FPGA <b class='flag-5'>Vivado</b>的74系列IP封装呢?

    AMD Xilinx SoC:定制PetaLinux中的FSBL

    客户为AMD Xilinx SoC创建了PetaLinux工程。需要定制PetaLinux中的FSBL
    的头像 发表于 07-10 17:05 725次阅读

    AMD Xilinx AC701单板运行IIC EEPROM例程

    AMD Xilinx Vitis内部集成了各种外设的例程,为工程师提供了快速上手的代码
    的头像 发表于 07-10 17:03 3487次阅读
    <b class='flag-5'>AMD</b> <b class='flag-5'>Xilinx</b> AC701单板运行IIC EEPROM例程

    AMD Xilinx K26从eMMC启动Ubuntu

    AMD Xilinx K26支持Ubuntu。从ubuntu amd-xilinx下载映像后,把image烧入到TF卡
    的头像 发表于 07-10 15:58 543次阅读

    AMD-Xilinx MPSoC的Watchdog在Linux中使用的简明教程

    AMD-Xilinx MPSoC的器件里,提供了内置的Watchdog
    的头像 发表于 07-07 14:15 684次阅读

    为EBAZ4205创建Xilinx Vivado板文件

    电子发烧友网站提供《为EBAZ4205创建Xilinx Vivado板文件.zip》资料免费下载
    发表于 06-16 11:41 1次下载
    为EBAZ4205创建<b class='flag-5'>Xilinx</b> <b class='flag-5'>Vivado</b>板文件