0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看威廉希尔官方网站 视频
  • 写文章/发帖/加入社区
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动

完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>

3天内不再提示

关于quartus如何调用modelsim详细解说

姚小熊27 来源:网络整理 2018-05-18 10:39 次阅读

Quartus II 11.0中调用ModelSim-Altera 6.5e详细

一、Altera官网下载两款软件并安装

下载网址:https://www.altera.com/download/software/modelsim/zh_cn,选择Quartus II 网络版、ModelSim-Altera入门版。目前这两款软件都是免费的,不需要许可证,安装简单,使用期限是30天。

【注意】务必记住安装的路径,特别是ModelSim-Altera的安装路径。

关于quartus如何调用modelsim详细解说

二、指定ModelSim-Altera 6.5e的安装路径

打开Quartus II 11.0软件,新建工程和文件并保存。

1.然后在菜单栏选择 tools-》options;

2.在options选项卡中选中EDA tool options;

3.在该选项卡中下面的ModelSim-Altera一项指定安装路径为E:/Altera/11.0/modelsim_ae/win32aloem(其中E:/Altera/11.0/modelsim_ae/为我电脑中ModelSim-Altera 6.5e的安装路径)

【注意】 如果没有指定ModelSim-Altera 6.5e的安装路径,调用ModelSim-Altera的时候会出现如下的错误提示:

关于quartus如何调用modelsim详细解说

三、指定Quartus II 11.0仿真软件

在Quartus II 11.0界面菜单栏中选择Assignments-》Settings。

1.选中该界面下EDA Tool settings中的Simulation一项;

2.Tool name中选择ModelSim-Altera;

3.Format for output netlist中选择开发语言的类型Verilog或者VHDL等,

4.Time scale 指定时间单位级别

5.Output directory指定测试文件模板的输出路径(该路径是工程文件的相对路径)。

关于quartus如何调用modelsim详细解说

四、生成仿真测试文件

选择Quartus II 11.0开发界面菜单栏下Processing-》Start-》Start Test Bench Template Writer,提示生成成功。

关于quartus如何调用modelsim详细解说

五、配置选择仿真文件

打开仿真测试文件(在上述3中指定的Output directory 目录下找到后缀名为“.vt”的文件)并根据自己需要进行编辑。

1. 在Quartus II 11.0界面菜单栏中选择Assignments-》Settings-》EDA Tool settings-》Simulation;

2.选择Compile test bench右边的Test benches;

关于quartus如何调用modelsim详细解说

3.然后在出现的界面中选择New,在新出现的界面中Test bench name 输入测试文件名字,在Top level module in test bench 栏中输入测试文件中的顶层模块名;

4.选中Use test bench to perform VHDL timing simulation并在Design instance name in test bench中输入设计测试文件中设计例化名默认为i1;

5.然后在Test bench files栏下的file name 选择测试文件(在第3步中指定的测试文件输出路径下的后缀名为“ .vt ” 文件的测试文件),然后点击add,一步一步OK。

关于quartus如何调用modelsim详细解说

【注意】Test bench name和Top level module in test bench 以及Design instance name in test bench分别为“.vt”文件的文件名、vt文件中顶层实体模块名、Verilog或者VHDL文件中的模块的例化名。

六、仿真文件配置完成后回到Quartus II 11.0 开发界面

在Quartus II 11.0界面菜单栏中选择菜单栏Tools中的Run EDA Simulation Tool-》EDA RTL Simulation 进行行为级仿真,接下来就可以看到ModelSim-Altera 6.5e的运行界面,观察仿真波形。

Quartus II调用modelsim无缝仿真详细图文教程

1. 设定仿真工具

assignmentèsettingèEDA tool settingèsimulation 选择你需要的工具。

关于quartus如何调用modelsim详细解说

2. 自动产生测试激励文件模板:

processingèstartèStart test bench template writer

关于quartus如何调用modelsim详细解说

我们点击之后系统会自动在目录:当前文件夹è simulation è modelsim (这个文件夹名字跟你选的仿真工具有关) 中产生一个测试激励文件 xxx.vt(Verilog test bench) 或者 xxx.vht(VHDL test bench), 文件名跟你工程中的Top module 的名字一样, 后缀为.vt或者.vht。

3. 编辑走动生成的test bench文件

我们加入自己需要的激励以及初始化语句,这里我们还要修改test bench的模块名字为tb(我们会看到这个名字和后面的设定有联系)。

关于quartus如何调用modelsim详细解说

4. 连接test bench,我们需要从Quartus中自动调用仿真工具,所以需要设定Native Link选项。

a) 还是在simulation的设置页面里,设定 Native Link对话框中的设定。我们这里因为需要工具自动调用激励所以选中

关于quartus如何调用modelsim详细解说

b) 点击右边的Test Benches, 我们需要在这里设定一个相关联的test bench.

关于quartus如何调用modelsim详细解说

这里会弹出一个让你指定test bench的对话框,因为我们之前没有指定任何的test bench,所以这里是空白的。

c) 指定test bench

因为我们是第一次产生test bench,点击new.

关于quartus如何调用modelsim详细解说

点击New之后会产生一个New Test bench setting的对话框,在这里你将test bench和你的相应的test bench file进行绑定。

关于quartus如何调用modelsim详细解说

我们这里在Test bench name的对话框中输入一个名字”my_1st_tb”, 我们将看到,在下面的Top level module in test bench对话框中也自动显示”my_1st_tb”。 注意这个名字应该和你的test bench 中的module name一直,我们之前在第3步的时候将test bench的module name已经改成了tb,所以我们这里应该把对话框中的名字改成tb。

关于quartus如何调用modelsim详细解说

d) 加入test bench文件

关于quartus如何调用modelsim详细解说

5. 进行仿真

当这些设定都完成了之后, 选择菜单

toolèRun EDA Simulation toolèEDA RTL simulation

关于quartus如何调用modelsim详细解说

就可以直接调用modelsim进行仿真。

6. 小技巧:

我们这样调用仿真,如果是Modelsim AE每次不会编译lib文件,但如果我们使用的是Modelsim SE版本,每次调用都需要重新编译库,非常不爽,在这里我们建议自己修改脚本文件,进行仿真。

a) 当我们按照之前的描述,运行完仿真之后,停留在Modelsim的界面。

b) 在Modelsim界面的命令行上,我们点向上的方向键,就会出现我们上一条指令, 我们可以看到是

do xxxx.do 这说明工具执行的上一个命令式 xxxx.do这个脚本文件,我们这里的例子是

do oversampling_core_run_msim_rtl_verilog.do

i. 我们知道了工具执行的脚本,我们就可以按照自己的想法去改变这个脚本了。使用edit oversampling_core_run_msim_rtl_verilog.do命令,可以看到这个脚本的内容(当然我们也可以使用Ultra Edit或者VIM等文本编辑软件去打开这个脚本文件)。这个脚本通常分成3部分 库文件编译部分,设计文件编译,运行参数设定和开始执行部分

关于quartus如何调用modelsim详细解说

ii. 通常来说库文件只在第一次编译的时候,需要编译,后面我们只需要在仿真的时候指定库文件的位置就好了,不需要每次都编译。因此我们可以注释掉“库文件编译部分”。下面图中红色框中的部分就是被注释掉的库编译部分,这样会节省我们的仿真时间,注意在脚本语言中#是注释符。

iii. 我们将编辑过的脚本文件另存为sim.do, 在以后的仿真中我们可以在Modelsim命令行中,直接在脚本中运行do sim.do(当然是先需要将Modelsim的工作目录改到 工程所在文件夹/simulation/modelsim/)。

c) 另外脚本生成的波形文件通常是将test bench的顶层加入到图形画面中我们可以看到在脚本的第3部分(运行参数和开始执行)部分,默认命令式

add wave *, 这条命令就是讲 test bench顶层的所有信好加入到wave窗口中。

对我们来说,在调试阶段,有很多底层信号都是想观测的,所以需要再手动修改一下命令

i. 在modelsim的窗口中,选中自己想要关心的模块,右键可以将自己关心的信号加入wave波形中

关于quartus如何调用modelsim详细解说
关于quartus如何调用modelsim详细解说

ii. 此时我们在wave 窗口中可以将这个波形的格式存下来,在wave窗口中点菜单fileèsave… 选择文件名为wave.do.

iii. 在我们执行的脚本中将add wave *,这个命令替换成do wave .do, 就可以在每次执行仿真的时候自动添加想要观测的波形了。

关于quartus如何调用modelsim详细解说

7. 接下来最后一个问题,怎样才能一次性的编译好库文件,让软件不再每次编译。其实altera已经为客户准备了相应的选项,只不过藏得比较深,不好找。

a) 一次性编译库:

i. 点击toolè launch EDA simulation Library Compiler

关于quartus如何调用modelsim详细解说

ii. 我们会看到一个让我们选择器件的页面。根据你的实际情况选择下面的设定。点击start compile,软件会自动帮你完成编译,然后关掉就好,至此你应该已经完成了库的编译。

关于quartus如何调用modelsim详细解说

iii. 接下来我们需要在QII的仿真设定页面做一些修改。

我们需要回到设定界面

Assignmentèsettingèsimulationèmore Nativelink setting

关于quartus如何调用modelsim详细解说

设定好相应的路径。

关于quartus如何调用modelsim详细解说

好了,重新run仿真,就发现不会再编译我们的库文件了。

  • ModelSim
    +关注

    关注

    5

    文章

    155

    浏览量

    46284
  • quartus
    +关注

    关注

    16

    文章

    167

    浏览量

    73709
收藏 人收藏

    评论

    相关推荐

    Modelsim SE 进行时序仿真及altera库的添加 [转]

    II布局布线后自动调用Modelsim,通过do文件自动完成仿真过程之间显示波形;另一种方式是自己启动Modelsim进行时序仿真。第一种方式Quartus II自动完成库的编译、添加和调用,第二种
    发表于 02-01 11:37

    我的ModelSim初学心得

    Quartus目录下会生成一个simulation文件夹,下面有个modelsim。完成编译之后,在这个目录下面生成了供modelsim使用的库和时序文件。如果选中了编译之后自动调用modelsim,我的做法是用
    发表于 03-01 10:55

    Modelsim Altera 6.6d 破解文件

    本帖最后由 eehome 于 2013-1-5 10:03 编辑 Modelsim Altera 6.6d破解文件及详细的破解方法,本人成功破解成功quartus 2 11.0sp1订购版破解文件下载,内附破解说明本帖是自己费时费力剪切编辑,希望对如我的各位初学者一起努力
    发表于 11-16 11:17

    关于Quartus II中ROM初始化数据.mif格式文件,在Modelsim中仿真...

    各位大哥;小弟最近刚学FPGA,有个问题不懂,希望各位路过的大哥不吝赐教!我在Quartus II中调用了一个lpm_rom,用存放正弦波数值的.mif 格式文件去初始化rom.编好
    发表于 03-24 18:02

    modelsim-altera6.5破解和quartus调用

    modelsim-altera6.5破解和quartus调用
    发表于 04-09 11:48

    请教QuartusII调用modelsim的问题

    在QuartusII下我创建了名为pcm的工程文件,并生成了名为tb_pcm.vt的测试文件,在调用modelsim仿真时出现了如下的错误:# ** Note: (vsim-3812) Design
    发表于 04-09 23:59

    quartus ii 与modelsim-altera联合仿真

    quartus ii 的原理图文件如何与modelsim-altera联合仿真?
    发表于 04-14 21:51

    MODELSIM仿真提示already declared in this scope

    写了个程序里面调用了几个模块,quartus11.0编译时没有报错,但在modelsim仿真时提示一些信号already declared in this scope ,看了下程序,这些信号是子模块的一些输入输出信号,但在主程序中没有重复定义啊,只是对其做了必要的变量申明而已。希望能帮忙看下。
    发表于 05-09 18:49

    quartus2的modelsim仿真问题

    quartus2怎么加入modelsim仿真,百度上说要破解,没有破解文件,求高手指导
    发表于 06-14 18:21

    关于 modelsim-altera 的问题咨询

    我之前安装好了quartus12.0,但是没有下载安装modelsim-Altera。后来在官网上下载了一个modelsim-Altera 10.0 d。 但是在安装modelsim
    发表于 08-26 13:48

    modelsim仿真

    我在用quartus调用modelsim仿真波形时出现波形窗口,但没有信号名也没有波形,求高手给指点下
    发表于 08-27 11:12

    关于调用modelsim

    quartus11.0中调用modelsim_altera_ase时,出现了modelsim窗口,但是没有出现任何仿真波形,请问是怎么回事,谢谢
    发表于 11-15 22:35

    quartus调用modelsim遇到一些问题

    软件版本是quartus11.0,modelsim_se10.0c。modelsim能单独打开,就是不能再quartus中使用,试过改路径设置,没用
    发表于 11-24 23:28

    modelsim时序仿真总是出错为什么

    在使用quartusii modelsim仿真时。。功能仿真可以好用,。但是时序仿真就出错。。无论是手动打开modelsim,还是直接调用。。时序仿真就是出错。。没有输出。。但是用quartus自带的vwf那个仿真时。。功能和时序仿真就都好用。。到底什么原因呢。。{:4:}。。谁能给指点一下
    发表于 11-26 21:06

    请问我的Quartus在编译后自动调用ModelSim 就会仿真失败

    求助:请问我的Quartus在编译后自动调用ModelSim 就会仿真失败# Error loading design# Error: Error loading design #Pausing
    发表于 12-24 21:26

    ModelSim的使用(Altera官网)

    详细介绍了ModelsimQuartus联合仿真的流程及细节。
    发表于 01-26 21:46

    Modelsim 中仿真 含有 PLL quartus 工程问题

    自己 的 体验,重新 写一份 关于这个问题的 解决方法,供大家 参考。如有雷同,请原谅。步骤如下: 1、首先建立文件夹 synchronism_pll, 然后 建立 Quartus 工程,调用 PLL
    发表于 02-22 14:31

    Quartus 13.0和Modelsim SE 10.1a 联合仿真

    本帖最后由 蓝e 于 2014-11-25 15:35 编辑 Quartus 13.0和Modelsim SE 10.1a 联合仿真 1、首先在Quartus建立工程,编写HDL文件,进行编译
    发表于 02-28 16:49

    关于modelsim的仿真问题

    想要仿真quartus下工程中的一个IP核,但是不知道怎么样才能把这个IP核弄到modelsim下进行仿真,求指教!!!!!
    发表于 04-04 00:36

    quartus 2 11.0 调用 modelsim se 10.0c

    什么原因。setting 里面的设置严格按照 教程上弄的,而且搜了好多这方面的资料,setting 没发现错误。我的软件quartus 2 11.0和 modelsim se 10.0c
    发表于 04-12 12:13

    Modelsim-ALTERA的破解成功

    Modelsim-ALTERA的破解今天照视频教程中想在Quartus调用Modelsim仿真,先用Modelsim SE 6.2b,总是不好用,后来想想算了,还是下载个
    发表于 05-02 16:27

    Quartus调用modelsim SE出现问题

    只能打开modelsim,不会出现波形
    发表于 05-07 10:50

    quartus调用modelsim时出错,有谁知道原因吧?谢谢!

    quartus ii调用modelsim时出现图中的错误,不知道原因在哪?源程序都没有错误(别人已经验证),modelsim能打开,就是在最后才出现错误,如何才能解决,希望大家能帮我看一下,谢谢!!!
    发表于 08-14 16:43

    新人求助关于modelsim仿真的问题

    最近几天在学习用quartus调用modelsim进行仿真,可是仿真的时候一直出现这种情况,一直处于loading的状态。求帮助。另外我的版本是quartus9,modelsim10.1c64位。重装也没用。
    发表于 10-26 16:12

    Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?

    请问谁有有Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?希望回复?谢谢
    发表于 11-25 10:18

    FPGA调用quartus求助

    刚开始学习FPGA,使用quartus2 13.0调用modelsim-altera时,能够成功打开modelsim,却没有波形,工程是开发板配套的例程,应该没有错,text bench是工程自带
    发表于 12-12 12:09

    quartus调用modelsim仿真时弹出voptk.exe已停止工作

    我用quartus 调用modelsim 仿真,执行tool - EDA RTL simulation 后modelsim 软件自动打开,然后他就开始编译,然后弹出voptk.exe已停止工作。
    发表于 03-05 11:35

    Quartus 2 安装错误

    安装quartus 2时出现这个error running C:\altera\13.1/ModelSimSetup-13.1.0.162.exe--mode unattended
    发表于 03-28 23:54

    FPGA Quartus ii 13.1 和modelsim10.1d破解遇到的问题(仅供学习交流 并非原创)

    Altera(曾经的我以为QuartUS 破解了Modelsim Altera 10.1d也破解了,实则两者为两个独立的软甲,需分开破解)参考:modelism10.1c和modelsim
    发表于 07-24 23:21

    Quartus调用ModelSim问题

    Quartus调用ModelSim后一直显示loading...,请问怎么回事啊?ModelSim也打开了呀?
    发表于 08-03 09:21

    Modelsim仿真出错

    各位,小弟最近遇到一个很奇怪的问题。我在使用modelsim进行仿真时,无论是通过quartus调用还是直接运行modelsim,都会遇到提示:“error loading design“,从而仿真
    发表于 08-30 22:14

    为什么quartus综合没有报错而modelsim rtl仿真就报错了

    为什么quartus综合没有报错而modelsim rtl仿真就报错了
    发表于 09-24 11:02

    关于Modelsim的使用方法请教

    我是通过quartus调用Modelsim的,比如程序写完之后综合完成后调用Modelsim进行仿真。但是如果发现仿真的结果不对,我就要去返回修改verilog代码,再编译综合。那么问题来了,此时
    发表于 10-10 11:33

    Modelsim如何仿真一个工程文件

    我之前是使用quartusModelsim联调的,直接从Quartus调用。仿真的时候可以看到Quartus整个工程文件下的输入输出值和工程里各个模块的输入输出值都可以看到。但是我现在
    发表于 10-12 10:41

    quartus调用modelsim仿真,一闪就关闭

    为什么quartusII 调用modelsim仿真时,modelsim还没出波形前就自动关闭,但是单独打开modelsim时,它又是可以的,求高手解答!!1!!!
    发表于 10-12 21:32

    在做一个 单口ram m9k 的 modelsim仿真, modelsim老是编译不了 下面是例程

    看着网上实例做的一个单口ram的仿真我用的是 quartus II调用 modelsim 仿真。用的是quartus II上的宏模块 RAM:1-PORT 做的单口ram。下面图片是顶层文件和 testbench
    发表于 10-22 22:24

    如何使用quartus ii 和modelsim -ae 快速进行Testbench功能仿真

    :如下图设置即可: 这里提供另一种设置的方法:工程建立后可以在Settings里修改:2.2 建立Quartus II 与Modelsi-ae的关联(方便在Quartus直接调用Modelsim)完成
    发表于 11-29 21:35

    quartusModelsim 联合仿真自动退出

    本帖最后由 srxh 于 2015-12-7 23:28 编辑 各位大神,求指点迷津!本人有个问题想请教下,quartus II 11与Modelsim6.6d联合仿真时,每次一点仿真进入
    发表于 12-06 23:52

    QuartusII13.0与Modelsim SE安装与破解说明(清晰)

    本帖最后由 FPGA_StudyNotes 于 2016-3-22 17:00 编辑 QuartusII13.0与Modelsim SE安装与破解说明FPGA开发使用到的常用软件为
    发表于 03-22 15:45

    求助:关于quartus II 和 modelsim 联合仿真设置问题

    quartus 调用modelsim 的时候报错:Error (22035): Failed to launch NativeLink simulation (quartus_sh -t
    发表于 03-30 12:37

    关于can't launch the modelsim software的问题解决

    解决方法:step1:选择路径 Quartus——>tool——>Options—>gengral—>EDA tool Options选择modelsim的安装路径里面
    发表于 04-20 15:42

    quartus软件安装

    Quartus II 12.1和Modelsim altera 10.1b安装和调用1、首先从官网下载两个软件:www.altera.com.cn 点击—>设计工具与服务—>设计软件,再
    发表于 05-19 14:19

    quartus + modelsim 联合仿真问题

    quartus+modelsim联合仿真的时候,但有的.v源文件进行仿真前,需要把它设置为顶层模块(源文件并没有语法错误),然后将工程分析和综合后,点击RTL simulation,就有结果了?
    发表于 08-07 13:39

    大神求助 OpenG函数是什么,可否详细解说一下

    大神求助OpenG函数是什么,可否详细解说一下,甚是感谢
    发表于 10-11 20:09

    Quartus16波形仿真提示缺少ModelSim文件

    各位老师大家好,我在用Quartus16进行波形仿真的时候,遇到了这样的问题,提示缺少ModelSim文件,如图我的版本信息是各位老师能不能提点我一下,我实在不知如何解决。谢谢各位老师了先
    发表于 10-19 21:40

    quartus ii13.0不能仿真,求解

    我用的是quartus ii13.0,用自带的仿真没有输出结果,调用modelsim也没有波形
    发表于 03-20 23:25

    Quartus17.0调用Modelsim仿真PLL无输出

    的10CL016E144C8目前尝试办法:①选择Cyclone4的器件,调用C4的PLL IP仿真,IP可以正常仿真;②更换Modelsim SE10.5(破解版),仿真c0输出高阻;③安装Quartus
    发表于 11-05 11:59

    FIR滤波器IP核直接用quartus ii 调用modelsim仿真出错,提示说找不到auk_dspip_lib 库怎么解决呀,谢谢

    我在用fir 核做半带插值滤波器时,自己写好tb后,直接用quartus ii 调用modelsim仿真时,出错,提示说找不到auk_dspip_lib 库怎么解决呀,谢谢大家
    发表于 11-24 19:28

    关于modelsim_altera仿真出错

    ./fulladd_8_run_msim_rtl_verilog.do PAUSED at line 14。之前我遇到这样的问题是modelsim安装有问题,因为quartus安装包里面有两个modelsim
    发表于 12-20 16:11

    Quartus II V13.0的VWF仿真时提示“ModelSim-Altera was not found”怎么解决

    我在用Quartus II V13.0的VWF仿真时提示“ModelSim-Altera was not found”。我确认ModelSim-Altera的路径已设置为D:\altera\13.1
    发表于 02-05 11:56

    modelsim include报错

    一个文件里单独定义了很多parameter,然后在其它文件’include调用quartus综合可以过,但modelsim仿真时报错 (vlog-2155) Global declarations are illegal in Verilog 2001 syntax.请知道的朋友指点一下!谢谢!
    发表于 05-19 15:54

    quartus 自带的modelsim 破解成功了但是还是显示找不到license

    : alteramtivsim or alteramtivlog.modelsim仿真时的error modelsim我可以打开,没有显示未注册,但是我直接在quartus ii 里面的tool里面仿真就出现这个error 有没有大哥碰到过呀
    发表于 08-11 18:52

    使用quartus17.0调用altera_modelsim仿真scfifo出现下面错误

    使用quartus17.0调用altera_modelsim仿真一个scfifo,出现下面的错误:PORT'eccstatus' not found in the connected module.
    发表于 08-20 20:55

    Modelsim详细使用方法

    Modelsim 详细使用方法介绍。点击下载
    发表于 04-23 10:28

    modelsimQuartus使用问题

    Quartus 使用的是12.0版本,modelsim使用的是modelsim se-64 10.4版本,在进行仿真时,出现以下错误:Fatal: Internal Error - vopt
    发表于 05-01 22:09

    quartus II与modelsim联调仿真,输出一直是不定态

    小弟,在Quartus II 调用modelsim联调时,发现如图下面的寄存器dq有正常的数据,但是将其赋值给data时,modelsim中的波形图一直时红色不定态线,代码在板子上已经能够正常运行,就是与modelsim的仿真有问题,折腾了好久不知道原因,贵请大佬指点!具体现象看下面的图片
    发表于 05-14 20:25

    请问modelsim仿真出现如下问题怎么解决?

    /EDA/fenping/simulation/modelsim/rtl_work". (Format: 4)我是直接用quartus调用modelsim,前面一个没有问题,重新新建一个文件夹写一个再一个调用仿真就出现这个。
    发表于 03-05 20:10

    quartus 17.1调用modelsim 出错

    quartus 17.1调用modelsim 出错,显示TCL OPERATION LOADNOTSTATIC,应该怎么解决?
    发表于 07-09 09:10

    在Altera Quartus II下如何调用ModelSim进行仿真?

    在Altera Quartus II下如何调用ModelSim进行仿真?
    发表于 04-30 07:15

    ModelSim软件的详细使用方法是什么?

    ModelSim软件有哪些主要特点?ModelSim软件的详细使用方法是什么?
    发表于 06-21 07:35

    基于Quartus II + ModelSim SE的后仿真

    首先大家必须把Quartus II和ModelSim SE都安装好,并成功破解,这个就不说了。
    发表于 07-22 15:25 105次下载

    Quartus II与ModelSim功能仿真与后仿真扫盲

    本文主要描述了如何在 QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM 进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。
    发表于 07-22 15:44 195次下载

    Altera ModelSim 6.5仿真入门教程

    Altera ModelSim 6.5仿真入门教程,需要的可自行下载。 平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用 ModelSim仿真
    发表于 08-15 15:40 255次下载
    Altera <b>ModelSim</b> 6.5仿真入门教程

    Quartus Ⅱ使用教程之Quartus Ⅱ 11.0调用Modelsim进行波形仿真

    Quartus II中设计的每个逻辑电路或子电路,叫做一个工程。软件每次运行一个工程,并将所有信息保存在单一文件夹中。欲开始一个新的逻辑电路设计,第一步就是新建一个文件夹来保存文件。为了保存本指南的设计文件,在F盘新建EDA文件夹。指南者运行的范例为一个简单的4选1数据选择器。
    发表于 01-08 10:19 7次下载
    <b>Quartus</b> Ⅱ使用教程之<b>Quartus</b> Ⅱ 11.0<b>调用</b><b>Modelsim</b>进行波形仿真

    FPGA视频教程之Quartus.II调用ModelSim仿真实例详细资料说明

    本文档的主要内容详细介绍的是FPGA视频教程之Quartus.II调用ModelSim仿真实例详细资料说明。
    发表于 03-05 10:46 13次下载
    FPGA视频教程之<b>Quartus</b>.II<b>调用</b><b>ModelSim</b>仿真实例<b>详细</b>资料说明

    FPGA的视频教程之modelsimquartus的使用详细资料说明

    本文档的主要内容详细介绍的是FPGA的视频教程之modelsimquartus的使用详细资料说明。
    发表于 03-26 16:55 32次下载
    FPGA的视频教程之<b>modelsim</b>和<b>quartus</b>的使用<b>详细</b>资料说明

    如何在Quartus13.0中调用Modelsim详细的步骤说明

    本文档的主要内容详细介绍的是结合实例,通过图片及配套文字解说,分步骤详细介绍了Quartus13.0环境中调用Modelsim进行功能仿真。
    发表于 07-01 08:00 6次下载
    如何在<b>Quartus</b>13.0中<b>调用</b><b>Modelsim</b><b>详细</b>的步骤说明

    FPGA的Quartus ModelSim的安装介绍和使用等基本操作资料免费下载

    本文档的主要内容详细介绍的是FPGA的QuartusModelSim等安装介绍和使用等基本操作资料免费下载。
    发表于 03-23 15:49 22次下载
    FPGA的<b>Quartus</b> <b>ModelSim</b>的安装介绍和使用等基本操作资料免费下载

    Quartus II中通过调用IP核实现RS编解码

    的,特别是在短的中等码长下,性能接近香农限。本文是基于课题的要求,在Quartus II软件中通过调用IP核的方式实现RS编译码过程,并通过Modelsim进行仿真验证。
    发表于 09-28 10:02 2次下载

    ISE13.1调用Modelsim10.0出现的一点小问题及解决过程

    在System Generator做了点仿真,验证成功之后,自动生成了testbench文件,然后在ISE中打开生成的工程,调用Modelsim选择behavior仿真......
    发表于 02-11 11:02 1625次阅读
    ISE13.1<b>调用</b><b>Modelsim</b>10.0出现的一点小问题及解决过程

    Java 使用Redis缓存工具的详细解说

    本文是关于Java 使用Redis缓存工具的详细解说详细步骤请看下文
    的头像 发表于 02-09 14:10 7271次阅读
    Java 使用Redis缓存工具的<b>详细</b><b>解说</b>

    Quartus.II调用ModelSim仿真实例

    如果是第一次使用modelsim,需要建立Quartus ii12.0和modelsim的链接。Quartus II12.0-》Tools-》option-》EDA Tool options再选择自己的软件和对应的安装文件夹。
    的头像 发表于 03-07 15:45 2.3w次阅读

    使用Vivado 2017调用Modelsim详细步骤

    10.5,发现Modelsim10.5的版本也是可以使用的。笔者尝试过Modelsim10.4,发现该版本不支持。所以需要使用Vivado2017.3来调用Modelsim的工程师,需要选择正确的Modelsim版本。否者将调用失败。
    发表于 03-30 09:51 1.6w次阅读
    使用Vivado 2017<b>调用</b><b>Modelsim</b>的<b>详细</b>步骤

    Quartus II调用ModelSim仿真实例

    Quartus II可以在Windows、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。
    的头像 发表于 11-15 07:04 2784次阅读
    <b>Quartus</b> II<b>调用</b><b>ModelSim</b>仿真实例

    基于ModelSim使用二联合Quarus自动仿真教程

    ModelSim 自动仿真,所谓自动仿真,其实是在 Quartus II 中调用 ModelSim 软件来进行仿真。 在调用过程中,Quartus II 会帮我们完成 ModelSim 中的所有操作,我们
    的头像 发表于 07-23 10:51 1340次阅读
    基于<b>ModelSim</b>使用二联合Quarus自动仿真教程

    基于ModelSim使用四ModelSim手动仿真教程

    4.1 新建仿真工程 在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation
    的头像 发表于 07-23 11:10 2935次阅读

    Vivado调用Questa Sim或ModelSim仿真小技巧

    Vivado调用Questa Sim或ModelSim仿真中存在的一些自动化问题的解决方案。 Vivado调用Questa Sim仿真中存在的一些问题 首先说明一下Modelsim与Questa
    的头像 发表于 09-02 10:12 5752次阅读
    Vivado<b>调用</b>Questa Sim或<b>ModelSim</b>仿真小技巧

    使用Modelsim编译激励文件的详细流程

    对于激励文件,我常用的是直接手写,最初学这个的时候,好像是用的Quartus里的那个Modelsim-Altera的工具去弄了下图形化编辑去制作约束,现在讲到这ModelSim了,也就再捡点来折腾下,还是有点学习价值,毕竟编辑的约束就真的很“直观”了。
    的头像 发表于 05-16 10:52 1324次阅读

    ModelSim手动仿真教程

    在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation 文件夹中创建一个
    的头像 发表于 07-11 10:58 3602次阅读

    下载硬声App