0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看威廉希尔官方网站 视频
  • 写文章/发帖/加入社区
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动

完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>

3天内不再提示

标签 > 电源IC

电源ic简介

  电源ic是指开关电源的脉宽控制集成,电源靠它来调整输出电压电流的稳定。

  发展分类

  随着电子威廉希尔官方网站 的发展, 尤其是目前便携式产品流行和节能环保的提倡, 电源IC发挥的作用越来越大。几年前, 电源IC还仅仅是集成稳压器件和DC/DC转换器, 但现在电源IC涵盖很多内容,包括DC/DC、LDO(低压差线形稳压器)、电池充放电管理、PWM控制器、Reset、PFC(功率因数校正)、节能控制、功率MOSEFT等等。

查看详情

电源ic知识

展开查看更多

电源ic相关内容

电源ic威廉希尔官方网站

小功率数码适配器电源ic SF5773

小功率数码适配器电源ic SF5773采用热响应威廉希尔官方网站 ,输入功率恒定,大大拓展了系统的安全区工作范围(SOA)。

2023-03-28 标签: 变压器适配器电源IC 248 0

公认好用的小家电电源ic U321

经济水平的提高,大众的休闲娱乐方式也随之发生较大的改变。很多企业为了让新手玩家更好地体验养鱼的乐趣,开始推出智能鱼缸产品,能实现自动投食、恒温控制以及水...

2023-03-25 标签: MOSFETPWM控制器线性电源 401 0

5v2A适配器电源ic U9513B介绍

很明显,电源适配器和充电器是两个概念。前者是电源开关,用于提供能源;后者是用来给电池充电的,会根据电池容量和充电特性进行分阶段充电。

2023-03-08 标签: 充电器适配器电源适配器 200 0

看看去耦电容的摆放要遵循什么规则

在PCB的设计中,使用去偶电容能够有效滤除电源中包含的噪声,电容的摆放是根据容值大小确定,电容的去耦作用是有一定的距离要求,满足去耦半径问题

2023-03-05 标签: PCB设计BGA电源IC 538 0

DC/DC评估篇损耗探讨-封装选型时的热计算示例(1)

DC/DC评估篇损耗探讨-封装选型时的热计算示例(1)

在此前的文章中介绍了损耗的发生部位以及通过计算求出相应损耗的方法。从本文开始,将介绍根据求得的损耗进行热计算,并判断在实际使用条件下是否在最大额定值范围...

2023-02-23 标签: 封装损耗电源IC 120 0

DC/DC评估篇损耗探讨-损耗的简单计算方法

DC/DC评估篇损耗探讨-损耗的简单计算方法

上一篇文章介绍了电源IC整体损耗的计算方法,即求出各部分的损耗并将这些损耗相加的方法。本文将在“简单”的前提下,介绍一种利用现有数据求出电源IC损耗的方法。

2023-02-23 标签: 损耗电源IC功率晶体管 312 0

DC/DC评估篇损耗探讨-电源IC的功率损耗计算示例

DC/DC评估篇损耗探讨-电源IC的功率损耗计算示例

此前计算了损耗发生部分的损耗,本文将介绍汇总这些损耗并作为电源IC的损耗进行计算的例子。电源IC的功率损耗计算示例(内置MOSFET的同步整流型IC),...

2023-02-23 标签: MOSFET损耗电源IC 92 0

DC/DC转换器的基板布局-反馈路径的布线

DC/DC转换器的基板布局-反馈路径的布线

在本文中,将对用来将输出信号反馈给电源IC的FB引脚的布线进行说明。反馈路径的布线:反馈信号的布线在信号布线过程中也需要特别注意。

2023-02-22 标签: 转换器电感基板 227 0

高精度恒压和恒流输出性能的国产10W适配器电源ic U95143

国内大厂正积极联合产业链上下游推进原材料国产替代,除了部分产品如服务器电源有采用进口芯片,电源适配器产品基本已实现材料国产化。

2023-02-22 标签: 适配器电源ICVDD 1152 0

使用SiC-MOSFET的隔离型准谐振转换器的设计案例-设计案例电路

使用SiC-MOSFET的隔离型准谐振转换器的设计案例-设计案例电路

上一篇文章对设计中使用的电源IC进行了介绍。本文将介绍设计案例的电路。准谐振方式:上一篇文章提到,电源IC使用的是SiC-MOSFET驱动用AC/DC转...

2023-02-17 标签: MOSFET转换电路谐振转换器 76 0

查看更多>>

电源ic资讯

使用通用电源IC实现电源时序控制的电路 —总结—

“使用通用电源IC实现电源时序控制的电路”系列文章已经发表了11篇,本文是使用通用电源IC实现电源时序控制的电路的最后一篇。

2023-02-23 标签: 时序控制电源IC 212 0

使用通用电源IC实现电源时序控制的电路-前言

使用通用电源IC实现电源时序控制的电路-前言

在DC/DC“应用篇”中,作为基础知识DC/DC“应用篇”的第一波,我们将从“使用通用电源IC实现电源时序的电路”系列文章开始,逐步介绍DC/DC应用篇...

2023-02-23 标签: fpga时序控制电源IC 143 0

DC/DC评估篇损耗探讨-封装选型时的热计算示例(2)

DC/DC评估篇损耗探讨-封装选型时的热计算示例(2)

继上一篇文章“封装选型时的热计算示例 1”之后,本文将作为“热计算示例 2”,继续探讨为了使用目标封装而采取的相应对策。封装选型时的热计算示例 2,首先...

2023-02-23 标签: 封装损耗电源IC 2018 0

AC/DC PWM方式反激式转换器设计方法-设计步骤

在确认基本步骤后,接着说明设计步骤。设计步骤不仅限于AC/DC转换器,大部分的设计都会采用类似的步骤。一开始先确认要求规格,然后选择能实现要求规格的部件。

2023-02-16 标签: 转换器反激式电源IC 81 0

LED照明电源IC非调光BP2861XJ 非隔离降压型LED恒流驱动芯片

LED照明电源IC非调光BP2861XJ 非隔离降压型LED恒流驱动芯片

规格参数品牌:晶丰明源订货型号:BP2861XJ封装:SOP-7T功率因数:0.5桥堆:-续流二极管:Y温度保护点:140℃输出电流@Vout=72V(...

碳化硅SIC MOSFET应用 2022-03-09 标签: 电源IC 1137 0

AC/DC 转换电路设计的课题和探讨事项-使用分立结构还是电源IC

AC/DC 转换电路设计的课题和探讨事项-使用分立结构还是电源IC

使用分立结构还是电源IC设计电源电路的相关探讨日趋减少,在此主要从板载电源的角度进行探讨。有各种不同的考虑,使用电源IC的优势前面已经多有说明,近年来,...

2023-02-16 标签: 电源IC 52 0

电源ic U6201帮助产品性能发挥到最佳

现代电子系统正在向高速、高增益、高可靠性方向发展,电源上的微小干扰都对电子设备的性能有影响,这就需要在噪声、纹波等方面有优势的电源,对系统电源进行稳压、...

2022-11-29 标签: 充电器控制器电源IC 199 0

Power Integrations推出新款可编程、小巧及高效的零电压开关电源IC

深耕于高压集成电路高能效功率变换领域的知名公司Power Integrations(纳斯达克股票代号:POWI)今天宣布推出InnoSwitch™4-P...

2022-11-15 标签: 电源IC 372 0

前三季度15家国内电源IC厂商业绩集体下滑,车载新突破迎来曙光

电子发烧友网报道(文/刘静)我国作为全球规模最大的集成电路市场,在电源管理芯片细分市场占比超三成以上,根据调研机构FrostSullivan的数据显示,...

2022-11-13 标签: 汽车电子电源IC国产芯片 4237 0

消费电子寒风吹到电源IC行业,市值高达500多亿的圣邦股份在跌声中逆势双增长

 电子发烧友网报道(文/刘静)近期,多家电源管理芯片公司发布三季度财报,受消费电子需求疲软的影响,电源管理芯片板块跌声一片,思瑞浦、艾为电子、上海贝岭、...

2022-11-01 标签: 消费电子电源IC圣邦 1815 0

减小功率损耗,简化设计!搞定36W电源适配器方案就看它

减小功率损耗,简化设计!搞定36W电源适配器方案就看它

在上一篇文章中,我们介绍了思睿达5W USB 电源适配器应用方案。本文,我们将要介绍的是36W电源适配器应用方案。其中,该方案的控制IC控制采用了思睿达...

2022-10-27 标签: 电源适配器电源IC思睿达 731 0

相关标签

相关话题

换一批
  • Protel 99SE
    Protel 99SE
    +关注
    Protel 99SE是ProklTechnology公司基于Windows环境下开发的电路板设计软件。该软件功能强大,人机界面友好,易学易用,是大中专院校电学专业必学课程,同时也是业界人士首选的电路板设计工具。
  • 芯和半导体
    芯和半导体
    +关注
    芯和半导体是国产EDA行业的领军企业,提供覆盖IC、封装到系统的全产业链仿真EDA解决方案,致力于赋能和加速新一代高速高频智能电子产品的设计。   芯和半导体自主知识产权的EDA产品和方案在半导体先进工艺节点和先进封装上不断得到验证,并在5G、智能手机、物联网、人工智能和数据中心等领域得到广泛应用,有效联结了各大IC设计公司与制造公司。
  • Pcb layout
    Pcb layout
    +关注
    PCB印刷电路板,又称印制电路板,作为电子元件的载体,实现了电子元器件之间的线路连接和功能实现。
  • 单片机
    单片机
    +关注
    单片机(Single-Chip Microcomputer)是一种集成电路芯片,是采用超大规模集成电路威廉希尔官方网站 把具有数据处理能力的中央处理器CPU、随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计数器等功能。
  • PCB
    PCB
    +关注
    PCB就是印制电路板又称印制线路板,即英文Printed circuit board的缩写,是电子原件的承载部分,是电子元器件电气连接的提供者,PCB根据其基板材料的不同而不同。
  • 热设计
    热设计
    +关注
    热设计是随着通讯和信息威廉希尔官方网站 产业的发展而出现的一个较新的行业,在通讯、安防、PC、汽车、LED以及逆变器等行业中越来越被重视,成为产品研发中不可缺少的重要领域。热设计一般由前期的仿真和后期的测试验证来完成。当前的主流的仿真软件有Flotherm, Icepak,FloEFD, 6SigmaET等
  • Virtuoso
    Virtuoso
    +关注
  • PCB打样
    PCB打样
    +关注
  • 灵动微电子
    灵动微电子
    +关注
  • 电磁仿真
    电磁仿真
    +关注
  • PlusⅡ
    PlusⅡ
    +关注
  • 集成电路设计
    集成电路设计
    +关注
    集成电路设计(Integrated circuit design, IC design),亦可称之为超大规模集成电路设计(VLSI design),是指以集成电路、超大规模集成电路为目标的设计流程。集成电路设计涉及对电子器件(例如晶体管、电阻器、电容器等)、器件间互连线模型的建立。
  • 飞线
    飞线
    +关注
  • Creo
    Creo
    +关注
  • EasyEDA
    EasyEDA
    +关注
  • Mathematica
    Mathematica
    +关注
  • Tanner
    Tanner
    +关注
  • HDI板
    HDI板
    +关注
  • 中望CAD
    中望CAD
    +关注
  • 互联汽车
    互联汽车
    +关注
  • 可靠性测试
    可靠性测试
    +关注
    可靠性测试就是为了评估产品在规定的寿命期间内,在预期的使用、运输或储存等所有环境下,保持功能可靠性而进行的活动。是将产品暴露在自然的或人工的环境条件下经受其作用,以评价产品在实际使用、运输和储存的环境条件下的性能,并分析研究环境因素的影响程度及其作用机理。
  • LDS
    LDS
    +关注
  • 半导体IP
    半导体IP
    +关注
  • TCXO
    TCXO
    +关注
    TCXO(Temperature Compensate X‘tal (crystal) Oscillator) TCXO是通过附加的温度补偿电路使由周围温度变化产生的振荡频率变化量削减的一种。1.TCXO的温度补偿方式, 温度补偿型石英晶体谐振器,具有精度高等特点。
  • 中望3D
    中望3D
    +关注
  • 芯华章
    芯华章
    +关注
    芯华章聚集全球EDA行业精英和尖端科技领域人才,以智能调试、智能编译、智能验证座舱为三大基座,提供全面覆盖数字芯片验证需求的五大产品线。
  • 电气线路
    电气线路
    +关注
  • 结构化
    结构化
    +关注
  • EMI设计
    EMI设计
    +关注
  • 非阻塞赋值
    非阻塞赋值
    +关注

关注此标签的用户(3人)

走走看看321 SHIJIAN74 efans_93d8ba

编辑推荐厂商产品威廉希尔官方网站 软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民威廉希尔官方网站 Microchip
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba 兆易创新 OMRON Sensirion Broadcom Semtech 旺宏
英飞凌 Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI
NXP Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能
Maxim MPS 瑞萨 亿光 Exar 菲尼克斯 CUI WIZnet
Molex Yageo Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭
KOA Echelon Coilcraft LRC trinamic 沁恒股份
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 harmonyos